• 注册
当前位置:1313e > 默认分类 >正文

【UVM实战练习项目】2、UVM验证环境基本框架搭建(实例一)(纯软件环境,方便日后测试使用)

本节基于DUT完成UVM验证环境的基本框架搭建,实现对UVM理论知识点进行巩固练习,具体内容包括:如何创建激励、如何建立sequencer、如何连接sequencer和driver,如何集成agent、如何构建env等。

正式开始之前让我们再来回顾下搭建验证环境的过程:首先进行数据建模sequence_item(transaction),建好之后再将其放置到sequence,之后通过agent将sequence嵌入到sequencer中,然后将sequencer与driver连接起来,之后在env里面去集成agent,最后是编写testcase顶层。等到验证环境大体搭建完毕后,编写Makefile文件。

文章目录

    • 1.1、数据建模(packet.sv)
    • 1.2、生产数据(packet_sequence.sv)
    • 1.3、驱动数据(driver.sv)
    • 1.4、packet_sequencer.sv
    • 1.5、代理(input_agent.sv)
    • 1.6、环境层(router_env.sv)
    • 1.7、测试用

本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 162202241@qq.com 举报,一经查实,本站将立刻删除。

最新评论

欢迎您发表评论:

请登录之后再进行评论

登录